You are currently viewing Slide switch and Push Button interfacing with Virtex5 FPGA Development Kit

Slide switch and Push Button interfacing with Virtex5 FPGA Development Kit

Spread the love

Slide switch and Push Button interfacing with Virtex5 FPGA Development Kit

 Slide switch

Slide switches are most common used in electronic circuits for digital input of ON/OFF states. They allow control over current flow in a circuit. You’ll usually find slide switches in SPDT or DPDT configurations.

 Push Buttons

 Push-button switches are the classic momentary switch. Typically these switches have a really nice, tactile feedback when you press them. 

Schematic to interface Slide Switch and Push Button with Virtex5 FPGA Development Kit

Slide Switch and Push Button Placement in Virtex5 FPGA Development Kit

Interfacing Slide Switch and Push Button with Virtex5 FPGA Development Kit

Push Button interface is straight forward. One end of Push Button connected to FPGA and another end connected to ground. Slide switch interface to FPGA is Pulled High by default at open end and another end is connected to ground which act as OFF State.

VHDL Code for Slide Switch Interfacing with Virtex5 FPGA Development Kit

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

 

entity sw_led is

    Port ( sw : in  STD_LOGIC_VECTOR (15 downto 0);

           led : out  STD_LOGIC_VECTOR (15 downto 0));

end sw_led;

 

architecture Behavioral of sw_led is

 

begin

led<=sw;

 

end Behavioral;

User Constraint File

 NET "led[0]" LOC = B1;

NET "led[1]" LOC = A1;

NET "led[2]" LOC = E1;

NET "led[3]" LOC = C1;

NET "led[4]" LOC = G1;

NET "led[5]" LOC = F1;

NET "led[6]" LOC = K1;

NET "led[7]" LOC = E6;

NET "led[8]" LOC = L6;

NET "led[9]" LOC = V3;

NET "led[10]" LOC = N3;

NET "led[11]" LOC = M4;

NET "led[12]" LOC = R4;

NET "led[13]" LOC = P4;

NET "led[14]" LOC = R5;

NET "led[15]" LOC = M5;

NET "sw[0]" LOC = M1;

NET "sw[1]" LOC = L1;

NET "sw[2]" LOC = R1;

NET "sw[3]" LOC = N1;

NET "sw[4]" LOC = U1;

NET "sw[5]" LOC = T1;

NET "sw[6]" LOC = V2;

NET "sw[7]" LOC = V1;

NET "sw[8]" LOC = T7;

NET "sw[9]" LOC = P5;

NET "sw[10]" LOC = T8;

NET "sw[11]" LOC = U8;

NET "sw[12]" LOC = R9;

NET "sw[13]" LOC = T9;

NET "sw[14]" LOC = N10;

NET "sw[15]" LOC = m6;

Leave a Reply

This site uses Akismet to reduce spam. Learn how your comment data is processed.